IEICE Electronics Express
Online ISSN : 1349-2543
ISSN-L : 1349-2543
LETTER
Module binding for low power clock gating
Chun-Hua ChengShih-Hsu HuangWen-Pin Tu
Author information
JOURNAL FREE ACCESS

2008 Volume 5 Issue 18 Pages 762-768

Details
Abstract

In synchronous sequential circuit design, clock gating is recognized as a useful technique to reduce the power consumption. Conventionally, the clock gating is synthesized after high-level synthesis. In this paper, we point out that the module binding in high-level synthesis has a significant impact on the power consumption of gated clock tree. Based on that observation, we use an integer linear program (ILP) to formally formulate the problem. Our objective is to find a module binding solution so that the power consumption (of gated clock tree) can be minimized. It is noteworthy to mention that our work is the first attempt to synthesize the clock gating in the high-level synthesis stage. Benchmark data consistently show that our approach can greatly improve the existing design flow.

Content from these authors
© 2008 by The Institute of Electronics, Information and Communication Engineers
Previous article Next article
feedback
Top